FPGA Intellectual Property
PCI Express*, Networking and Connectivity, Memory Interfaces, DSP IP, and Video IP
6343 Discussions

How to force a mode onto a Stratix V DSP block?

Altera_Forum
Honored Contributor II
1,038 Views

The Stratix V DSP block supports many modes. The mode I'm interested in is two independent 18x18-bit input with 32-bit output (as described in the device handbook section 3-2 "Supported Operational Modes in Stratix V Devices"). 

 

I've tried (in Quartus 15.1): 

 

1) Inference: 

reg A, X; reg B, Y; reg C, Z; always @(posedge Clk) begin C <= A * B; Z <= X * Y; end  

 

2) LPM_MULT megafunction 

3) ALTERA_MULT_ADD megafunction 

 

None of those work. They all use 2 DSP blocks for two multipliers. I need to use two multipliers per DSP block in order to make the design fit into the device. 

 

Any assistance in forcing the compiler to use the intended mode would be greatly appreciated.
0 Kudos
0 Replies
Reply