Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20638 Discussions

Cannot generate the SOF file

Altera_Forum
Honored Contributor II
3,599 Views

hii,I am using quartus prime lite edison 16.1..And after successful compilation there is no sof file generated....can anyone help me?

0 Kudos
5 Replies
Altera_Forum
Honored Contributor II
1,569 Views

Hi, 

 

1.Are you checking in right directory. 

2.Have you fully complied (analysis and synthesis)? 

or  

Try with different version of tool depending on the device supported. 

 

Best Regards, 

Anand Raj Shankar 

(This message was posted on behalf of Intel Corporation)
0 Kudos
Altera_Forum
Honored Contributor II
1,569 Views

Yes I checked and the program was fully compiled....I am using cyclone V family (DE1-SoC board)...

0 Kudos
Altera_Forum
Honored Contributor II
1,569 Views

 

--- Quote Start ---  

Yes I checked and the program was fully compiled....I am using cyclone V family (DE1-SoC board)... 

--- Quote End ---  

 

Hi, 

 

Just check the Licence file is valid or not.If you are using 30 Days evaluation license.You can not generate SOF file. 

Register your board and get a valid license. 

 

Thanks 

Athul
0 Kudos
Altera_Forum
Honored Contributor II
1,569 Views

I am using quartus prime lite edison 16.1...Is any licence needed for that lite edison? 

 

Thanks in advance ,
0 Kudos
Altera_Forum
Honored Contributor II
1,569 Views

I think for any version to generate programming file that need a license. 

 

 

1. Goto myAltera and go to the Self-Service Licensing Center. 

2. Add your device serial number shown in the device below with some bar code n all.. 

3. Add your PC .... 

4. You will get a License.dat file... 

5.Add this to PC. 

6.Go to License manager and add this file to use as a new License. 

 

this is what i did to generate programming file. 

 

Regards 

Athul
0 Kudos
Reply