Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16556 Discussions

Quartus II Internal Error!

Altera_Forum
Honored Contributor II
4,729 Views

Hi, I don't know if this problem has been asked a lot but my friend and I couldn't compile my project because of an internal Quartus II error. Here's the log generated. 

 

*** Fatal Error: Access Violation at 0X00007FF9FE7F4747 Module: quartus_map.exe Lock in use: 54 Stack Trace: 0x14746: OPT_RAM_AI::OPT_RAM_AI + 0x114b6 0xbc64: OPT_RAM_AI::OPT_RAM_AI + 0x89d4 0xb6f5: OPT_RAM_AI::OPT_RAM_AI + 0x8465 0x45f8b: opt_bdd_small_arm_entry + 0xb9cb 0x49103: opt_bdd_small_arm_entry + 0xeb43 0x527ec: RTL_ROOT::process_sgate_netlist + 0x1dc 0x13e001: sgn_clear_check_ip_functor + 0xb3e01 0x1400e8: sgn_clear_check_ip_functor + 0xb5ee8 0xa41d6: sgn_clear_check_ip_functor + 0x19fd6 0xa6a44: sgn_clear_check_ip_functor + 0x1c844 0xa73ca: sgn_clear_check_ip_functor + 0x1d1ca 0x10dc7: sgn_qic_full + 0x257 0x11fad: qexe_get_command_line + 0x1b7d 0x14e0e: qexe_process_cmdline_arguments + 0x59e 0x14f21: qexe_standard_main + 0xa1 0x4c78: msg_exe_fini + 0x58 0x53bc: msg_exe_fini + 0x79c 0x1584: MEM_SEGMENT_INTERNAL::~MEM_SEGMENT_INTERNAL + 0x194 0x5f9f: msg_exe_main + 0x8f 0x11fe3: BaseThreadInitThunk + 0x13 0x6efc0: RtlUserThreadStart + 0x20 End-trace Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 SJ Web Edition Service Pack Installed: 1  

 

Thanks!
0 Kudos
5 Replies
Altera_Forum
Honored Contributor II
2,969 Views

Hi, 

 

Try deleting db and work folder and check. 

Can you attach and project? 

 

Let me know if this has helped resolve the issue you are facing or if you need any further assistance. 

 

Best Regards, 

Anand Raj Shankar 

(This message was posted on behalf of Intel Corporation)
0 Kudos
Altera_Forum
Honored Contributor II
2,969 Views

I tried deleting db/ and rtl_work/ but the error is still there.

0 Kudos
Altera_Forum
Honored Contributor II
2,969 Views

Hi, 

 

the above fatal error is not quartus error

Debug Steps followed: 

In the above code, the interface between the data_memory and instruction control is having problem. 

1.For debug,Comment each block one by one block (port map) to find the logical error. 

2.The "instruction control" logic caused problem, so i have changed only the constructor not the logic and to reduce the warning i have changed the logic of "data memory" which is commented in attached. 

3.Used quartus 17.0 std, windows 

which solved the problem. 

 

You can try it once in your code before downloading the code attached. 

 

Let me know if this has helped resolve the issue you are facing or if you need any further assistance. 

 

Best Regards, 

Anand Raj Shankar 

(This message was posted on behalf of Intel Corporation)
0 Kudos
Altera_Forum
Honored Contributor II
2,969 Views

Hi,  

 

Looks like the Quartus MAP tools have crashed due to access violations. Can you make sure that there are no other instances of Quartus open and running. Check the processes in Linux or Task Manager in Windows and kill any other quartus tasks that are running. Be careful not to kill the one you are currently running. If this doesn't work, close the tools, restart the system and try.
0 Kudos
Altera_Forum
Honored Contributor II
2,969 Views

That solved the problem. Thanks!

0 Kudos
Reply