Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20704 Discussions

Simulating Arria10 designs in Verilog - twentynm_atoms_ncrypt.v

Altera_Forum
Honored Contributor II
1,926 Views

Hello, 

 

At this point I have multiple qsys designs that are failing in the standalone Questasim Core simulator. I get an error when Questasim tries to elaborate the Qsys design with vsim command. I think the problem is fundamental to the twentynm encrypted libraries in Quartus 16.1 and above. It may exist even before those revs. I couldn't try.  

 

This is what I do - 

1. Create a Qsys system in Quartus 17.1 target. I have attached an example qsys here. Please remove the .txt from the filename before using. 

2. From tools menu in the Qsys System Generator menu, I generate a test bench system. 

3. Then in Questasim I go to the <componen>_tb/sim/mentor folder 

4. Run msim_setup.tcl 

5. Run dev_com - no errors but carriage return warnings on twentynm_hssi_atoms_ncrypt.v and twentynm_hip_atoms_ncrypt.v 

6. Run ld_debug - Get error -  

** Error: (vsim-3033) Instantiation of '<protected><protected><protected><protected><protected><protected>' failed. The design unit was not found. 

# Time: 0 ps Iteration: 0 Protected: /rsu_tb/rsu_inst/generic_quad_spi_controller_0/asmi_parallel_inst/altera_asmi_parallel_core/sd4/inst/<protected> File: C:/intelfpga/16.1/quartus/eda/sim_lib/mentor/twentynm_atoms_ncrypt.v Line: UNKNOWN 

 

This problem is consistent no matter what I put in the Qsys. 

Anyone know how I can address this problem? 

Thank you. 

Best regards, 

Sanjay
0 Kudos
2 Replies
Altera_Forum
Honored Contributor II
805 Views

Hi, 

 

 

 

Best Regards, 

Anand Raj Shankar 

(This message was posted on behalf of Intel Corporation)
0 Kudos
Altera_Forum
Honored Contributor II
805 Views

Have you compiled all of the FPGA device libraries into their respective libraries in Questa Standalone version. The ModelSim intel version comes with these already pre-compiled. If using other versions, you need to manually compile all of the files into the libraries and then compile your design.  

 

You must compile Altera simulation model files before simulating an Altera design. The Quartus II software includes simulation models for Altera megafunctions, primitives, library of parameterized modules (LPMs), IPFS models, and device family specific models in the <installation path>/eda/sim_lib directory.
0 Kudos
Reply