Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16556 Discussions

Altera-Modelsim can not start on Ubuntu 18.04

AKost
Beginner
8,843 Views

Hi,

 

I installed the Modelsim Altera edition and now i can not start it. I downloaded the .run file, made it executable using chmod +x command and than ran it. It installed without problems into ~intelFPGA directory. But when i try to start it using "vsim" keyword, i get the following error:

 

Error in startup script:

Initialization problem, exiting.

 

Initialization problem, exiting.

 

  while executing

"InitializeINIFile quietly"

  invoked from within

"ncFyP12 -+"

  (file "/mtitcl/vsim/vsim" line 1)

** Fatal: Read failure in vlm process (0,0)

 

Anyone knows how to solve this? I am running ubuntu 18.04

0 Kudos
3 Replies
ELave
Novice
5,276 Views

You're unlikely to get an answer from Intel, so you're probably on your own. 'vlm' may point to a licensing failure. What happens if you run vcom, vlog, vlib, etc? Do they work? If so, that would also point to a licensing failure.

 

Installation on RH/Centos completes easily and everything just runs. However, there are issues if you are on a 64-bit system (like everybody else). Intel appears to be unable to offer any advice on that.

0 Kudos
Abe
Valued Contributor II
5,276 Views

Well, Intel officially supports only upto Ubuntu 16.04TLS for the Quartus tools. So I guess they haven't yet tested support for 18.04. Your best option would be to install Ubuntu 16.04 and then install the tools. You may have to install any missing Ubuntu packages for the tools work properly. Install the packages using the sudo apt-get install command.

0 Kudos
mfro
New Contributor I
5,274 Views

There are at least two issues with modelsim and current Ubuntu/Debian 64 bit installations:

 

  1. you need to have installed all required 32 bit libraries
  2. modelsim crashes with current libXft libraries. You need to install an older version to make it run

 

Since I usually can't remember what I have done last time, I bookmarked https://gist.github.com/PrieureDeSion/e2c0945cc78006b00d4206846bdb7657 that has a good recipe on what to do to make modelsim run

Reply