Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16597 Discussions

How to set SDC constraints with respect to a clock from pll clock mux inputs?

SK_VA
Beginner
2,215 Views

I have two pll clock muxes.Each of them has two different inputs

Outputs from these plls are fed to another mux which selects the input clock to my logic.

 

I want to set max skew,max delay and min delay constraints for some output signals with respect to the input clocks to the pll muxes.

 

I tried something as below,but it constraints with respect to clock output of pll muxes.

 

 

set_max_skew -from pll_100MHz_1|altpll_component|auto_generated|pll1|clk[0]  -to [get_ports {output_sig}] 2.0

set_max_skew -from pll_100MHz_1|altpll_component|auto_generated|pll1|clk[0]~1 -to [get_ports {output_sig}] 2.0

set_max_skew -from pll_100MHz_2|altpll_component|auto_generated|pll1|clk[0]  -to [get_ports {output_sig}] 2.0

set_max_skew -from pll_100MHz_2|altpll_component|auto_generated|pll1|clk[0]~1 -to [get_ports {output_sig}] 2.0

0 Kudos
4 Replies
Nooraini_Y_Intel
Employee
864 Views

Hi,

 

Currently I am reviewing the forum for any open questions and found this thread. I apologize that no one seems to answer this question that you posted. Since it has been a while you posted this question, I'm wondering if you have found the answer? If not, please let me know, I will try to assign/find someone to assist you. Thank you.

 

Regards,

Nooraini

0 Kudos
GuaBin_N_Intel
Employee
864 Views

Were those constraints not taken effect or have been ignored completely? Do you have any block diagram to illustrate how your implementation is?

0 Kudos
SK_VA
Beginner
864 Views

multi_clk.JPGI haven't solved the issue yet.Please see the attached figure of clock tree.

out_clk is the clock used for my logic.

 

I am trying to constrain the outputs wrt Cb1_clk1,Cb2_clk2,Cb2_clk1,Cb2_clk2 separately.

I am able to constrain wrt Cb1_clk and Cb2_clk (output of PLL muxes).

 

 

 

0 Kudos
GuaBin_N_Intel
Employee
864 Views

What are you trying to constrain? Setting clock frequency at that output of MUX or some sort of routing delay between end points.

0 Kudos
Reply