Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16557 Discussions

Error Loading Design in Modelsim 10.4b with Quartus 15.1 Lite Edition

matif
Novice
671 Views

​Hi. I am getting this error in modelsim.

# Top level modules: # constant2 # End time: 21:54:18 on Jul 04,2019, Elapsed time: 0:00:00 # Errors: 0, Warnings: 0 # # vlog -vlog01compat -work work +incdir+C:/Users/atifn/Downloads/Av_simple_auto_enable_loopback_q2_131_restored/simulation/modelsim {C:/Users/atifn/Downloads/Av_simple_auto_enable_loopback_q2_131_restored/simulation/modelsim/tb.v} # Model Technology ModelSim ALTERA vlog 10.4b Compiler 2015.05 May 27 2015 # Start time: 21:54:18 on Jul 04,2019 # vlog -reportprogress 300 -vlog01compat -work work "+incdir+C:/Users/atifn/Downloads/Av_simple_auto_enable_loopback_q2_131_restored/simulation/modelsim" C:/Users/atifn/Downloads/Av_simple_auto_enable_loopback_q2_131_restored/simulation/modelsim/tb.v # -- Compiling module tb # # Top level modules: # tb # End time: 21:54:18 on Jul 04,2019, Elapsed time: 0:00:00 # Errors: 0, Warnings: 0 # # vsim -t 1ps -L altera -L lpm -L sgate -L altera_mf -L altera_lnsim -L cyclonev -L cyclonev_ver -L cyclonev_hssi_ver -L cyclonev_pcie_hip_ver -L rtl_work -L work -L custom0 -L reconfig0 -voptargs="+acc" tb # vsim -t 1ps -L altera -L lpm -L sgate -L altera_mf -L altera_lnsim -L cyclonev -L cyclonev_ver -L cyclonev_hssi_ver -L cyclonev_pcie_hip_ver -L rtl_work -L work -L custom0 -L reconfig0 -voptargs=""+acc"" tb # Start time: 21:54:18 on Jul 04,2019 # Loading work.tb # Loading work.a # Loading work.custom0 # Loading sv_std.std # Loading work.reconfig0 # Loading work.constant0 # Loading work.constant1 # Loading work.constant1_lpm_constant_s09 # Loading work.constant2 # Loading reconfig0.alt_xcvr_reconfig_direct # ** Error (suppressible): (vsim-10000) C:/Users/atifn/Downloads/Av_simple_auto_enable_loopback_q2_131_restored/constant0.v(51): Unresolved defparam reference to 'LPM_CONSTANT_component' in LPM_CONSTANT_component.lpm_cvalue. # Time: 0 ps Iteration: 0 Instance: /tb/DUT/b2v_inst3 File: C:/Users/atifn/Downloads/Av_simple_auto_enable_loopback_q2_131_restored/constant0.v # ** Error (suppressible): (vsim-10000) C:/Users/atifn/Downloads/Av_simple_auto_enable_loopback_q2_131_restored/constant0.v(52): Unresolved defparam reference to 'LPM_CONSTANT_component' in LPM_CONSTANT_component.lpm_hint. # Time: 0 ps Iteration: 0 Instance: /tb/DUT/b2v_inst3 File: C:/Users/atifn/Downloads/Av_simple_auto_enable_loopback_q2_131_restored/constant0.v # ** Error (suppressible): (vsim-10000) C:/Users/atifn/Downloads/Av_simple_auto_enable_loopback_q2_131_restored/constant0.v(53): Unresolved defparam reference to 'LPM_CONSTANT_component' in LPM_CONSTANT_component.lpm_type. # Time: 0 ps Iteration: 0 Instance: /tb/DUT/b2v_inst3 File: C:/Users/atifn/Downloads/Av_simple_auto_enable_loopback_q2_131_restored/constant0.v # ** Error (suppressible): (vsim-10000) C:/Users/atifn/Downloads/Av_simple_auto_enable_loopback_q2_131_restored/constant0.v(54): Unresolved defparam reference to 'LPM_CONSTANT_component' in LPM_CONSTANT_component.lpm_width. # Time: 0 ps Iteration: 0 Instance: /tb/DUT/b2v_inst3 File: C:/Users/atifn/Downloads/Av_simple_auto_enable_loopback_q2_131_restored/constant0.v # ** Error (suppressible): (vsim-10000) C:/Users/atifn/Downloads/Av_simple_auto_enable_loopback_q2_131_restored/constant2.v(51): Unresolved defparam reference to 'LPM_CONSTANT_component' in LPM_CONSTANT_component.lpm_cvalue. # Time: 0 ps Iteration: 0 Instance: /tb/DUT/b2v_inst8 File: C:/Users/atifn/Downloads/Av_simple_auto_enable_loopback_q2_131_restored/constant2.v # ** Error (suppressible): (vsim-10000) C:/Users/atifn/Downloads/Av_simple_auto_enable_loopback_q2_131_restored/constant2.v(52): Unresolved defparam reference to 'LPM_CONSTANT_component' in LPM_CONSTANT_component.lpm_hint. # Time: 0 ps Iteration: 0 Instance: /tb/DUT/b2v_inst8 File: C:/Users/atifn/Downloads/Av_simple_auto_enable_loopback_q2_131_restored/constant2.v # ** Error (suppressible): (vsim-10000) C:/Users/atifn/Downloads/Av_simple_auto_enable_loopback_q2_131_restored/constant2.v(53): Unresolved defparam reference to 'LPM_CONSTANT_component' in LPM_CONSTANT_component.lpm_type. # Time: 0 ps Iteration: 0 Instance: /tb/DUT/b2v_inst8 File: C:/Users/atifn/Downloads/Av_simple_auto_enable_loopback_q2_131_restored/constant2.v # ** Error (suppressible): (vsim-10000) C:/Users/atifn/Downloads/Av_simple_auto_enable_loopback_q2_131_restored/constant2.v(54): Unresolved defparam reference to 'LPM_CONSTANT_component' in LPM_CONSTANT_component.lpm_width. # Time: 0 ps Iteration: 0 Instance: /tb/DUT/b2v_inst8 File: C:/Users/atifn/Downloads/Av_simple_auto_enable_loopback_q2_131_restored/constant2.v # Error loading design # Error: Error loading design # Pausing macro execution # MACRO ./a_run_msim_rtl_vhdl.do PAUSED at line 234

My files are attached herewith. Can someone guide me where I am wrong...

0 Kudos
0 Replies
Reply