FPGA Intellectual Property
PCI Express*, Networking and Connectivity, Memory Interfaces, DSP IP, and Video IP
6355 Discussions

Model-Sim tries to compile header files not included in my project and fails. Model-Sim also fails to instantiate FIFOs and potentially other IPs because it looks it the work_rtl library instead of the altera_mf library

SAbde7
Beginner
882 Views

I am trying to simulate my verilog modules in modelsim. When I try to include .v files as headers in my modules, modelsim still tries to compile them and complains that global variables are not allowed. I tried to circumvent this by including an .inc file instead(which seems to work?) and got past the compilation phase. Now when I try to simulate my top level module, I get the following error:

# ** Error: (vsim-3033) C:/Users/abdelfadel/Desktop/xillybus_beta_core_pipelined/verilog/output_fifo.v(74): Instantiation of 'dcfifo_mixed_widths' failed. The design unit was not found.

# Time: 0 ps Iteration: 0 Instance: /test_wrapper/inst/generate_structure[3]/output_fifo_inst File: C:/Users/abdelfadel/Desktop/xillybus_beta_core_pipelined/verilog/output_fifo.v

# Searched libraries:

# C:/Users/abdelfadel/Desktop/xillybus_beta_core_pipelined/verilog/simulation/modelsim/rtl_work

 

I am starting modelsim from Quartus by clicking on tools ->run simulation tool -> RTL simulation

0 Kudos
1 Reply
MEIYAN_L_Intel
Employee
558 Views

Hi,

Can your try the steps as below:

1)Update Quartus to the latest version and the latest version for each Quartus Prime edition as below:

Quartus Prime Pro 19.2

Quartus Prime Std 18.1

Quartus Prime Lite 18.1

Caution: Must be refer to the device support in each version

You may refer to the link below:

https://www.intel.com/content/www/us/en/programmable/downloads/download-center.html

2) ModelSim simulation in Quartus Prime Std and Web edition by using native link to launch the simulation. The links below show the setup using native link :

https://www.altera.com/support/support-resources/design-examples/design-software/simulation/modelsim/exm-ncsim-native-link.html

 

https://www.youtube.com/watch?v=PmVVXQchv2c

 

3) ModelSim simulation in Quartus Prime Pro edition:

https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/ug/ug-20093.pdf

 

https://www.youtube.com/watch?v=eviC0jP90ZA

Thanks.

 

0 Kudos
Reply