Intel® FPGA University Program
University Program Material, Education Boards, and Laboratory Exercises
1176 Discussions

Failed to initialize user clock?

davidwigley
Beginner
1,626 Views

Hello,

 

I am following the instructions from here:

https://github.com/intel/FPGA-Devcloud/tree/master/main/QuickStartGuides/OpenCL_Program_PAC_Quickstart/Arria%2010

 

And the programming of the device is failing. Has anyone seen this?

The diagnostics appear fine.

 

u75982@s005-n007:~/A10_OPENCL_AFU/v1.2.1/hello_world/bin$ aocl program acl0 hello_world_fpga_unsigned.aocx
aocl program: Running program from /opt/a10/inteldevstack/a10_gx_pac_ias_1_2_1_pv/opencl/opencl_bsp/linux64/libexec
libopae-c user_clk_pgm_uclock.c:200:set_userclock() **ERROR** : Failed to initialize user clock
libopae-c reconf.c:244:set_afu_userclock() **ERROR** : Failed to set user clock
libopae-c reconf.c:393:fpgaReconfigureSlot() **ERROR** : Failed to set user clock
Error writing bitstream to FPGA: not supported
Error programming device
aocl program: Program failed.

0 Kudos
1 Solution
BoonBengT_Intel
Moderator
1,597 Views

Hi @davidwigley,

 

Thank you for posting in Intel community forum and hope all is well.
I have tried the  instruction in the similar nodes mention and are able to program successfully. (i.e. complete steps 3.6)


Hence suspecting there might be some missing steps, would suggest to to check on the following:
1) Selecting the correct development stack source which is 1.2.1.
2) Recompile the program by running make clean followed by make command.
3) Are you able to compile the opencl code into the FPGA executable successfully?
Please do try and let us know if that helps.

 

Best Wishes
BB

View solution in original post

6 Replies
BoonBengT_Intel
Moderator
1,598 Views

Hi @davidwigley,

 

Thank you for posting in Intel community forum and hope all is well.
I have tried the  instruction in the similar nodes mention and are able to program successfully. (i.e. complete steps 3.6)


Hence suspecting there might be some missing steps, would suggest to to check on the following:
1) Selecting the correct development stack source which is 1.2.1.
2) Recompile the program by running make clean followed by make command.
3) Are you able to compile the opencl code into the FPGA executable successfully?
Please do try and let us know if that helps.

 

Best Wishes
BB

davidwigley
Beginner
1,585 Views

Thank you @BoonBengT_Intel.

 

I just tried rerunning the executable I built again following the same steps and it has worked.

 

Not sure what changed on the backend, but the issue appears to be fixed now.

 

Thank you for your help!

0 Kudos
BoonBengT_Intel
Moderator
1,576 Views

Hi @davidwigley,

 

Great, good to know that it is working now, with no further clarification on this thread, it will be transitioned to community support where community users will further help you with doubts in this thread.
Thanks for your questions and as always pleasure having you here.

 

Best Wishes
BB

0 Kudos
davidwigley
Beginner
1,499 Views

Now the issue occurs after programming the device, without error.

 

Upon execution, it terminates by saying:

Binary length is 15988 for 1 devices
Context callback: Malformed program binary: missing .acl.board section
Context callback: kernel argument info is not available
Context callback: Invalid binary
ERROR: CL_INVALID_BINARY
Location: ../common/src/AOCLUtils/opencl.cpp:408
Failed to create program with binary

 

Has anyone seen this before?

0 Kudos
BoonBengT_Intel
Moderator
1,490 Views

Hi @davidwigley,

 

Good day, I managed to find similar thread in regards to the issues mention below:

https://community.intel.com/t5/Intel-FPGA-Software-Installation/Issues-running-Hello-World-openCL-example-missing-libaltera-a10/td-p/685112?profile.language=en

Please do have a look to see if that helps.

 

Best Wishes

BB

0 Kudos
davidwigley
Beginner
1,485 Views

Hi @BoonBengT_Intel ,

 

Appreciate the response and help. I have reviewed that post and am still stuck. My issue is not with running the program in emulation mode, that works.

 

Do you know what else causes the warning Context callback: Malformed program binary: missing .acl.board section to occur?

Apologies if this is a silly question but I am not very familiar with OpenCL nor DevCloud.

 

I do not believe it is from my development environment since I running out of the DevCloud, but I am not sure.

The board diagnostics appear ok, programming yields no errors, and this issue is persistent across nodes.

 

Best,

 

David

0 Kudos
Reply