Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16613 Discussions

Entity XXX will be ignored because it conflicts with Quartus II primitive name

Altera_Forum
Honored Contributor II
2,188 Views

I have got conflicst on AND2, OR2, OR3, OR4. I do not know whichever surprices expect me. I feel it is a mistake that reasonably named entity conflicts with the system. Do you know that namespace conflicts are resolved by libraries and such? Can I isolate the Altera primitives from interfereing into the global namespace? Or, give me the list of primitives to rename.

0 Kudos
2 Replies
Altera_Forum
Honored Contributor II
1,242 Views

That fact that it is reasonably named is why you are getting the conflict. Altera also seemed to feel it was reasonably named. And truly, the entity names you are choosing have been used as primitives in synthesis libraries for as long as I can remember. 

 

There is a list of names that you cannot use in the Quartus Help files: 

Look for help topic: 

WYSIWYG Atom Names Unavailable for Use as Primitive Instance Names 

 

In 9.1, it's located here: 

file:///c:/altera/91/quartus/common/help/webhelp/master.htm#mergedProjects/quartus/gl_quartus_welcome.htm 

 

I would recommend using an underscore in your names. (AND_2, OR_2, OR_3, OR_4).  

 

Jake
0 Kudos
Altera_Forum
Honored Contributor II
1,242 Views

I have got it, thanks. Let this topic route others to the list once they get the same message.  

 

I've addressed the conflicts on reasonable names to recall the compiler designers that their primitives must not contaminate the user space. Normally, fancy prefixes aka libraries are used for this. Creating a problem along with the help files to bypass it is not the best solution.
0 Kudos
Reply