Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16613 Discussions

Need help with using an entity from a different project (error: 10481)!

booman
Beginner
378 Views

I need to use a entity 'task3' from a different project 'lab3' the file name I need it from is also 'task3'. My current project is 'lab4' . I am trying to port map but have been unsuccessful please help em regarding this. The following is the current code for lab4.

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library lab3;
use lab3.all;

entity top is
port(cin: in std_logic;
a,b: in std_logic_vector(3 downto 0);
sum: out std_logic_vector(3 downto 0);
cout,seg: out std_logic);
end top;

architecture behaviour of top is
component full_adder is
port(x,y,cin: in std_logic;
s,cout: out std_logic);
end component;
signal c0,c1,c2,c3 : std_logic;
begin
adder0: full_adder
port map(x => a(0), y => b(0), cin => cin, s => sum(0), cout => c0);
adder1: full_adder
port map(x => a(1), y => b(1), cin => c0, s => sum(1), cout => c1);
adder2: full_adder
port map(x => a(2), y => b(2), cin => c1, s => sum(2), cout => c2);
adder3: full_adder
port map(x => a(3), y => b(3), cin => c2, s => sum(3), cout => c3);
cout <= c3;
hexto7seg: entity lab3.task3
port map(a => sum, f => seg);
end behaviour;

0 Kudos
2 Replies
Nurina
Employee
350 Views

Hi,


It seems like the files/library are not added to your project. You can use either methods:

  1. Add file to project. On Quartus go to, Project>Add/Remove Files in Project>Files
  2. Add library to project. On Quartus go to, Project>Add/Remove Files in Project>Library. You can also do this on .qsf: https://www.intel.com/content/www/us/en/programmable/quartushelp/17.0/mapIdTopics/jka1465598291051.htm


Regards,

Nurina


0 Kudos
Nurina
Employee
309 Views

Hello,


We do not receive any response from you on the previous question/reply/answer provided. Please login to https://supporttickets.intel.com , view details of the desire request, and post a feed/response within the next 15 days to allow me to continue to support you. After 15 days, this thread will be transitioned to community support. The community users will be able to help you on your follow-up questions.


p/s: If any answer from community or Intel support are helpful, please feel free to mark as solution, give Kudos and rate 4/5 survey


Regards,

Nurina


0 Kudos
Reply