Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)

Packing DSP blocks

Altera_Forum
Honored Contributor II
1,276 Views

On 3-12 of Stratix V manual it states each DSP block can support 3 independent, 9x9 multipliers. When I synthesize it puts them in 3 separate DSP blocks. How do I get it to pack it into 1 DSP block? 

 

I found this solution for 18x18, but it does not work. 

 

http://altera.com.my/support/kdb/solutions/rd11052012_734.html
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
318 Views

I recently had this same question. You have to assign the logic to a "LogicLock" region. 

 

See the instructions in this thread for how to do it: 

 

http://www.alteraforum.com/forum/showthread.php?t=42904 

 

Cheers, 

Dave
0 Kudos
Reply