Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)

Quartus Block Editor

Altera_Forum
Honored Contributor II
951 Views

Hi,  

 

is it really true that it is not possible to use the quartus block editor with entities which have record types in its ports? To me this seems to be a rather arbitrary limitation. 

 

It would be a pity if there was no way around this, since grouping the signals in records would make schematic more readable and much easier to create in the first place (because i wouldn't have to connect each individual signal).
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
262 Views

the way around it is to not use the block editor. The block editor is pretty old and based on AHDL constructs.

0 Kudos
Reply