Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16612 Discussions

Quartus II Simulator keeps old waveforms and nodes during simulation

Altera_Forum
Honored Contributor II
1,382 Views

For some reason during simulation, the signals in my .vwf don't match what are in the simulation results, and the sim results have extra/different nodes that I don't even have selected. Does anybody know why this is? I am not using certain nodes in the VWF however they appear in the results anyways.. am I don't something wrong? This is getting awfully annoying, I end up creating a new VWF to get rid of them. (See attachments for an example) 

 

Thanks
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
292 Views

Are you sure you've selected the correct simulation input file? 

 

Assignments 

Settings 

Simulator Settings 

Simulation Input
0 Kudos
Reply