Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16614 Discussions

Quartus error: instantiate undefined entity "mux1"

Altera_Forum
Honored Contributor II
1,214 Views

Hi, 

I got this error message while synthesizing my design using Quartus 2: 

 

...instantiates undefined entity "mux1"... 

 

I am using Quartus 10.1 on Linux and the devide is Stratix 3. Have anyone seen this error message?  

 

I searched this forum and found a thread that someone had similar problem with Quartus 4 for TRI1 primitive. I followed suggestions in that thread but it din't help. I appreciate any inputs.
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
366 Views

yes - you're instantiating an entity Quartus cannot find. 

 

You have either not compiled mux1 yourself or not included the libary mux1 is in
0 Kudos
Reply