Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16652 Discussions

vhdl for loop and synthesis

Altera_Forum
Honored Contributor II
1,084 Views

Hi, 

I'm usingfor i in 0 to idx-1 generate 

idx could be 0. Is this a problem for Quartus? 

Thanks.
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
376 Views

No - it is a null range and will be understood. The loop wont get entered.

0 Kudos
Reply