Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20705 Discussions

PLL? Cyclone ii? I/o

Altera_Forum
Honored Contributor II
1,570 Views

Is it possible to use the Cyclone ii PLL to divide down a 37.5Mhz clock on a standard input/output pin. Does it need to be on a dedicated Pin?

0 Kudos
11 Replies
Altera_Forum
Honored Contributor II
814 Views

 

--- Quote Start ---  

Is it possible to use the Cyclone ii PLL to divide down a 37.5Mhz clock on a standard input/output pin. Does it need to be on a dedicated Pin? 

--- Quote End ---  

Why don't you try it, you have the tool in front of you? :) 

 

I believe you will be able to, however, you will get a warning about excessive jitter, since the output path is not a dedicated PLL output pin. At such a low frequency, this warning can be ignored. 

 

However, what frequency are you trying to create? You might be better off using a counter to divide a higher frequency clock down, and then use that as a toggling output. You won't get a warning in that case. 

 

Cheers, 

Dave
0 Kudos
Altera_Forum
Honored Contributor II
814 Views

You need the dedicated clock pins for a clock input, as you can't feed a pll with a clock coming from any general purpose i/o pin. On the other hand any pin can be used as an output, with an impact on jitter, as Dave said.

0 Kudos
Altera_Forum
Honored Contributor II
814 Views

Yes I should prob just try it, however I think I may have issues. I originally stated my problem incorrectly. I am actually trying to multiply the 37.5Mhz coming from a DSP to like say 10x (375Mhz) in order to offload some intensive real-time math calculations from the DSP. I do not need an external clock just internal for the calculations.

0 Kudos
Altera_Forum
Honored Contributor II
814 Views

In that case you will need to feed the 37.5Mhz clock signal from the DSP to a dedicated clock input. 

Running some logic at 375MHz inside the Cyclone II could be a bit tricky...
0 Kudos
Altera_Forum
Honored Contributor II
814 Views

Ok thanks. So it looks like i may not be able to do this since my clock is going to a general I/O.  

 

What stand-alone internal clock options does the cyclone ii have? Can it run completly independent without a crystal etc? How fast?
0 Kudos
Altera_Forum
Honored Contributor II
814 Views

 

--- Quote Start ---  

So it looks like i may not be able to do this since my clock is going to a general I/O.  

 

--- Quote End ---  

Don't assume, go and try it. If the tool has I/O pad-to-clock net internal routing resources, it might work. I can't guarantee it will, but its simple enough to try. Worst case, bring your clock in on your I/O pin, and output it on an I/O pin next to a clock pin, and then short the output and clock input together :) 

 

 

--- Quote Start ---  

 

What stand-alone internal clock options does the cyclone ii have? Can it run completly independent without a crystal etc? How fast? 

--- Quote End ---  

I don't think the Cyclone parts have an internal oscillator that is available to the user (the MAX II CPLD parts do). 

 

Cheers, 

Dave
0 Kudos
Altera_Forum
Honored Contributor II
814 Views

Dave, 

 

I appreciate your words of encouragement! I hope I dont seem too timid in my posts, but being a beginner Altera user I feel better knowing that I am not overlooking something obvious.  

 

I will keep u updated on my findings.  

 

If anyone has any expriences with this let me know.
0 Kudos
Altera_Forum
Honored Contributor II
814 Views

 

--- Quote Start ---  

Dave, 

 

I appreciate your words of encouragement!  

 

--- Quote End ---  

No problem, I'll keep them coming! :) 

 

 

--- Quote Start ---  

I hope I dont seem too timid in my posts, but being a beginner Altera user I feel better knowing that I am not overlooking something obvious.  

 

--- Quote End ---  

Trust me, with thousands of pages of handbooks, data sheets, user guides, megacore functions, etc, there is nothing obvious! But the tools are pretty simple to use, so make use of them. 

 

Since you are a beginner, my words of advice are to get yourself a decent evaluation board, eg., one of the Terasic DE-series boards, and design a couple of simple designs from scratch, eg., blink LEDs. Then learn how to use the Modelsim simulator to simulate your designs. 

 

What are you using for a hardware description language? VHDL, Verilog, or SystemVerilog? I use VHDL extensively, however, I'm starting to use SystemVerilog, since a lot of the newer Altera IP is being delivered in that form (eg., the Avalon Verification IP suite). 

 

Cheers, 

Dave
0 Kudos
Altera_Forum
Honored Contributor II
814 Views

 

--- Quote Start ---  

there is nothing obvious.  

--- Quote End ---  

 

 

I am starting to come to these terms! ;) 

 

 

--- Quote Start ---  

Since you are a beginner, my words of advice are to get yourself a decent evaluation board, eg., one of the Terasic DE-series boards, and design a couple of simple designs from scratch, eg., blink LEDs. Then learn how to use the Modelsim simulator to simulate your designs. 

 

What are you using for a hardware description language? VHDL, Verilog, or SystemVerilog? I use VHDL extensively, however, I'm starting to use SystemVerilog, since a lot of the newer Altera IP is being delivered in that form (eg., the Avalon Verification IP suite). 

--- Quote End ---  

 

 

I did get a DE-2 board and it was very helpful. So far Ive made 2 successful circuits that work great. I use Verilog exclusivley.
0 Kudos
Altera_Forum
Honored Contributor II
814 Views

 

--- Quote Start ---  

 

I did get a DE-2 board and it was very helpful. 

 

--- Quote End ---  

Ok, great. These are nice boards, with lots of peripherals. You will also be able to get lots of example code. 

 

 

--- Quote Start ---  

 

So far Ive made 2 successful circuits that work great. I use Verilog exclusivley. 

--- Quote End ---  

Once you have got some basic circuits working, you'll want to create components with 'standardized' control interfaces. In the Altera-world, these components adhere to the Avalon bus specification. In some cases you can use Altera-supplied IP, while in others you will need to develop your own. Part of the development process involves simulation of the components using the Avalon Verification IP suite; its written in SystemVerilog.  

 

Take a look at the Altera documentation for these, and ask questions when you need help. There are a couple of good Altera webinars on these topics. 

 

Cheers, 

Dave
0 Kudos
Altera_Forum
Honored Contributor II
814 Views

When feeding the clock signal to a general i/o pin, you will be able to use it as a clock inside the FPGA (but I'm not sure it will be using the global clock network). What you won't be able to do is to drive a pll with it to increase it's frequency.

0 Kudos
Reply