Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20705 Discussions

Problem reading flash memory

Altera_Forum
Honored Contributor II
876 Views

Hi guys, we're working on the altera DE2 board with a 4Mbyte Flash memory (S29AL032D model04). We loaded with the altera control panel  

 

address: 196608 

wdata: aa (10101010) 

 

we controlled the correct storage of data in the memory with control panel. 

Then we builded up a FSM in VHDL to read this data from Flash (code attached). To display the 8 bits of data we light on 8 red leds. 

 

Downloanding the program on the FPGA the results is that all the 8 red leds 

are on. We can't understand why... 

 

Can someone help us ??? 

Thanks 

Regio
0 Kudos
0 Replies
Reply