Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20727 Discussions

Quartus Prime Pro 23.1 Programmer Error

Zarquin
New Contributor II
700 Views

Hello community,

 

I am trying to program a 10CGX dev board with the Quartus Prime Pro 23.1 programmer and a programming cable and I get the error message:

"Error(209066): The instruction register length for the 10CX220YF780 device at position 2 in the JTAG chain is unknown. "
"Operation Failed"

When I click on Auto Detect, a dialogue box appears with the error message:


"Unable to scan device chain. Feature not implemented or unavailable under current execution privilege level."


A while ago it also worked once. But I can't figure out why, because the cdf file is the same (see below).


I have not found anything about these error messages.
Can anyone relate to the error messages and tell me what they mean or how I can solve the problem?

 

---

CDF-File:

---

/* Quartus Prime Version 23.1.0 Build 115 03/30/2023 SC Pro Edition */
JedecChain;
FileRevision(JESD32A);
DefaultMfr(6E);

P ActionCode(Ign)
Device PartName(10M08SA) MfrSpec(OpMask(0));
P ActionCode(Cfg)
Device PartName(10CX220YF780) Path("C:/Projects/C10GX/output_files/") File("c10gx.sof") MfrSpec(OpMask(1));

ChainEnd;

AlteraBegin;
ChainType(JTAG);
AlteraEnd;

Labels (1)
0 Kudos
3 Replies
NurAiman_M_Intel
Employee
680 Views

Hi,


Can you run the Jtag chain debugger? Please provide the screenshot.


https://www.intel.com/content/www/us/en/docs/programmable/683039/22-3/jtag-chain-debugger-tool.html


Regards,

Aiman


0 Kudos
Zarquin
New Contributor II
627 Views

Hi,

I restarted the computer and it just worked.
However, the cause of the error is still unknown and I do not want to restart the computer every time so that the error disappears. I'll keep an eye on it for now and get back to here if the error occurs again.

0 Kudos
NurAiman_M_Intel
Employee
610 Views

Hi,


Thank you. We are glad that you have found the solution.

I  now transition this thread to community support. If you have a new question, feel free to open a new thread to get the support from Intel experts. Otherwise, the community users will continue to help you on this thread. Thank you.


Regards,

Aiman


0 Kudos
Reply