Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20735 Discussions

vector wavefrom showing different errors.

shubham963
Novice
1,283 Views

hello all, i am using quartus prime lite edition version 21.1 and Questa-intel FPGA software 22.1 version.

 

i am just trying to generate a waveform for the equation given below

f(x1,x2,x3)=x1.x2+x1.x3+x2.x3

a simple code for above equation is written in verilog as shown 

module majority3 (x1, x2, x3, f);
                  input x1, x2, x3;
                  output f;
                  assign f = (x1 & x2) | (x1 & x3) | (x2 & x3);
endmodule 

after generating university program VWF and giving some input to x1,x2 and x3 it showing error as shown in image 1 and solving that error it is showing error as shown in image 2.

C:/intelFPGA_pro/22.1/questa_fse/win64/vsim -c -do majority.do

Reading pref.tcl

 

 

# 2022.1

 

 

# do majority.do

 

# ** Warning: (vlib-34) Library already exists at "work".

 

# Errors: 0, Warnings: 1

 

# Questa Intel Starter FPGA Edition-64 vcom 2022.1 Compiler 2022.01 Jan 29 2022

 

# Start time: 12:18:51 on Aug 12,2022

# vcom -work work majority.vho

 

# -- Loading package STANDARD

 

# ** Error: majority.vho(31): (vcom-1598) Library "cyclonev" not found.

 

# -- Loading package TEXTIO

 

# -- Loading package std_logic_1164

 

# -- Loading package altera_lnsim_components

 

# ** Error: majority.vho(34): (vcom-1136) Unknown identifier "CYCLONEV".

 

# ** Note: majority.vho(37): VHDL Compiler exiting

 

# End time: 12:18:52 on Aug 12,2022, Elapsed time: 0:00:01

# Errors: 2, Warnings: 0

 

# ** Error: C:/intelFPGA_pro/22.1/questa_fse/win64/vcom failed.

# Executing ONERROR command at macro ./majority.do line 3

 

Error.

 

I have attached .qar file. anyone kindly help to remove this error. 

0 Kudos
7 Replies
VenTingT
Employee
1,251 Views

Hi,


I have run the simulation but no errors as stated occurred.


From your error messages,

# ** Error: C:/intelFPGA_pro/22.1/questa_fse/win64/vcom failed.

the simulator, Questa you used is installed under the Quartus Pro version, but your Quartus used is the Lite version.


Can you try to use the simulator with the respective Quartus version? Because the library will be different. In Quartus Pro version, it does not support Cyclone V family device.


Thanks.


Best Regards,

Ven Ting




0 Kudos
VenTingT
Employee
1,222 Views

Hi,


Any updates?


Thanks.

Best Regards,

Ven Ting


0 Kudos
shubham963
Novice
1,213 Views

Yes, I have tried with different Questa version and after running , i am getting the same error as shown in image 1.

0 Kudos
VenTingT
Employee
1,210 Views

For error shown as in image 1, after open VWF, in the Simulation Waveform Editor window, go to Simulation > Simulation Settings. Remove the "-novopt" for both Functional and Timing Simulation Settings > Save. This will solve the error.


Thanks.

Best Regards,

Ven Ting


0 Kudos
VenTingT
Employee
1,207 Views

Attached with the screenshot of VWF Simulation Settings to remove "-novopt".

0 Kudos
VenTingT
Employee
1,169 Views

Hi,


Any updates?


Thanks.

Best Regards,

Ven Ting


0 Kudos
VenTingT
Employee
1,155 Views

Hi,


We do not receive any response from you to the previous answer that I have provided. This thread will be transitioned to community support. If you have a new question, feel free to open a new thread to get the support from Intel experts. Otherwise, the community users will continue to help you on this thread. Thank you.


Thanks.

Best Regards,

Ven Ting


0 Kudos
Reply