Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16614 Discussions

Can I use Modelsim SE 6.0 to simulate the FIFO generated by Quatus 7.2?

Altera_Forum
Honored Contributor II
1,366 Views

Hi all, 

 

According to the Modelsim help, i need to import a precompiled library supplied by FPGA vendor. But I can't find it in the installation directory of Quartus. Where can i find it? 

Or i must use Modelsim-Altera to solve this problem? 

 

Thanks for any help.
0 Kudos
4 Replies
Altera_Forum
Honored Contributor II
562 Views

Normally these will be sufficient. 

 

C:\altera\72\quartus\eda\sim_lib\altera_mf_components.vhd 

C:\altera\72\quartus\eda\sim_lib\altera_mf.vhd 

 

They are not precompiled and you will need to compile them into a altera_mf library or map work to altera_mf
0 Kudos
Altera_Forum
Honored Contributor II
562 Views

Yes, it works. 

thanks.
0 Kudos
Altera_Forum
Honored Contributor II
562 Views

Hi 

 

How would you go about compiling them?? I obviously must be more of a beginner than e.optic :)
0 Kudos
Altera_Forum
Honored Contributor II
562 Views

Hi, 

 

you can do the following: open Modelsim 6.0 ---> Menu"Help" ---> SE PDF Documentation ---> tutotial, then "Lesson 4 - Working with multiple libraries" . 

This Documentation is really helpful. 

By the way, even if the simulation results are correct in Modelsim, there are still many synthesis or fitter problems like RAM shortage on chip etc. Then you must rewrite the code despite no logic error. Now I prefer using Quartus directly.
0 Kudos
Reply