Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16613 Discussions

generate clock with Quartus 7.1 web adition

Altera_Forum
Honored Contributor II
1,254 Views

Hello everyone, 

 

I'm a new quartus user, and I need to generate a clock with the FPGA. 

the clock frequency should be 200MHz, and it will drive another device, so it has to be an output. 

i'm using verilog if it makes any difference. 

 

Can anyone tell me how to do that?
0 Kudos
3 Replies
Altera_Forum
Honored Contributor II
526 Views

You cannot generate a clock just by using the FPGA internal constructs. 

You can bring into the FPGA a slower (or faster) clock and connect to a PLL and generate the desired 200 MHz output you desire.
0 Kudos
Altera_Forum
Honored Contributor II
526 Views

Thanks for your reply. 

 

So if I'll take a clock from another device, which is slower, what should I do to connect it to a PLL to generate 200MHz? 

 

Does it matter if the input clock is much slower (about 100-150Hz)?
0 Kudos
Altera_Forum
Honored Contributor II
526 Views

Please refer to the Users Guide for the target FPGA family you are looking to use. 

Cyclone, Cyclone II, Arria GX, Stratix, Stratix II(GX), Stratix III. 

 

In there, you will find a discussion on PLL usage and information on allowable minimum input frequencies.
0 Kudos
Reply