Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16640 Discussions

Custom IP - Suspected Interface Problem

road_runner
Novice
1,005 Views

Hello,

 

The following attached files describe a binary-to-hex display code custom IP peripheral for Nios II. The top-level file  was selected as the bin_hex_interface file. I included the .tcl (as a .txt as the file upload system wouldn't support it), .v, and .c main files. To test, I simply used the hello_world_small sample from Eclipse and then wrote code that passes a value to that custom IP and reads it back. So, for example, passing a value of 0 into it would return 0x40 as this is the value needed to write 0 into the hex displays. Unfortunately, though, this is all I can return back, no matter what value I try to write to it. I don't know if there is something wrong with my interface, the basic module hardware logic should in theory be fine (I tested it by itself but that doesn't mean I didn't mess up somewhere and my tests didn't catch it), or the macro I'm using, but something somewhere isn't working right.  Please let me know what I'm doing wrong. Thanks in advance!

Labels (1)
0 Kudos
1 Solution
road_runner
Novice
662 Views

I apologize. I misread the documentation. Needed BOTH Verilog files to make it work. I fixed it. It's missing the byte-addressing for the architecture.

View solution in original post

0 Kudos
7 Replies
wwanalim_intel
Employee
958 Views

Hi,

 

Greetings and welcome to Intel's forum.

Please give me some time to check on this issue and will get back to you with the update.

 

Thank you.

Regards,

Fathulnaim


0 Kudos
sstrell
Honored Contributor III
932 Views

When you say "this is all I can return back", are you saying that 0 is the only value that works (or works correctly)?  Or are you saying that no matter what value you put in, you always get 0x40 out?

0 Kudos
wwanalim_intel
Employee
911 Views

Hi,


I'm currently reviewing the attached design. Kindly grant me a bit more time to thoroughly examine it.


0 Kudos
wwanalim_intel
Employee
882 Views

Hi,


Where do you get the custom IP design?


0 Kudos
wwanalim_intel
Employee
796 Views

Hi,


Do you have any updates to share?

I would need your response to have a better understanding about the issue.



0 Kudos
wwanalim_intel
Employee
757 Views

As we do not receive any response from you on the previous question/reply/answer that we have provided. Please login to ‘https://supporttickets.intel.com’, view details of the desire request, and post a feed/response within the next 15 days to allow me to continue to support you. After 15 days, this thread will be transitioned to community support. The community users will be able to help you on your follow-up questions.


0 Kudos
road_runner
Novice
663 Views

I apologize. I misread the documentation. Needed BOTH Verilog files to make it work. I fixed it. It's missing the byte-addressing for the architecture.

0 Kudos
Reply